HOME Corporate Product Verilog VHDL Link Contact Site map


型式74xx157ICのVerilog-HDLモデルです。


//
// Title        : (157) 2 to 1 Data Selectors
// File name    : 157.v
// Date         : 2000/12/08  Ver1.0
// Company      : Future Technology Ltd.
//

//----------------------------------------------------------
//  Module
//----------------------------------------------------------

module U157(
            A,
            B,
            SEL,
            XG,
            Y
        );

    input   [3:0]   A;
    input   [3:0]   B;
    input           SEL;
    input           XG;
    output  [3:0]   Y;

//----------------------------------------------------------
//  Using wire
//----------------------------------------------------------
    wire    [3:0]   Y;


    assign Y = (XG==1)?0:(SEL==0)?A:B;

endmodule



Back

HOME Corporate Product Verilog VHDL Link Contact Site map