HOME Corporate Product Verilog VHDL Link Contact Site map


型式74xx42ICのVerilog-HDLモデルです。


//
// Title        : (42) BCD to Decimal Decoder
// File name    : 42.v
// Date         : 2000/12/08  Ver1.0
// Company      : Future Technology Ltd.
// Writer       : K.Bettou 
//

//----------------------------------------------------
//  Module
//----------------------------------------------------

module U42(
            BCD,
            O
        );

    input   [3:0]   BCD;
    output  [9:0]   O;

//----------------------------------------------------
//  Using Register
//----------------------------------------------------
    reg     [9:0]   O;


    always@(BCD)begin
        case(BCD[3:0])
            4'b0000 :   O = 10'b1111111110;
            4'b0001 :   O = 10'b1111111101;
            4'b0010 :   O = 10'b1111111011;
            4'b0011 :   O = 10'b1111110111;
            4'b0100 :   O = 10'b1111101111;
            4'b0101 :   O = 10'b1111011111;
            4'b0110 :   O = 10'b1110111111;
            4'b0111 :   O = 10'b1101111111;
            4'b1000 :   O = 10'b1011111111;
            4'b1001 :   O = 10'b0111111111;
            default :   O = 10'b1111111111;
        endcase
    end

endmodule



Back

HOME Corporate Product Verilog VHDL Link Contact Site map