HOME Corporate Product Verilog VHDL Link Contact Site map


型式74xx688ICのVerilog-HDLモデルです。


//
// Title        : (688) 8-BIT IDENTITY COMPARATORS
// File name    : 688.v
// Date         : 2000/12/08  Ver1.0
// Company      : Future Technology Ltd.
//

//----------------------------------------------------
//  Module
//----------------------------------------------------

module U688(
            A,
            B,
            XG,
            EQU
        );

    input   [7:0]   A;
    input   [7:0]   B;
    input           XG;
    output          EQU;


    assign  EQU     =   ~(A==B & XG==1'b0);  

endmodule



Back

HOME Corporate Product Verilog VHDL Link Contact Site map