HOME Corporate Product Verilog VHDL Link Contact Site map


型式74xx83ICのVerilog-HDLモデルです。


//
// Title        : (83)4-bit Binary Adder
// File name    : 83.v
// Date         : 2000/12/08  Ver1.0
// Company      : Future Technology Ltd.
//

//----------------------------------------------------
//  Module
//----------------------------------------------------

module U83(
            A,
            B,
            CI,
            O,
            CO
        );

    input   [3:0]   A;
    input   [3:0]   B;
    input           CI;
    output  [3:0]   O;
    output          CO;


    assign  { CO, O } = A + B + CI;

endmodule



Back

HOME Corporate Product Verilog VHDL Link Contact Site map